[an error occurred while processing this directive]
Ответ (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 30 мая 2003 г. 15:01
В ответ на: а как инициализировать в VHDL?, чтобы понимали Modelsim и Synplify? отправлено yes 30 мая 2003 г. 14:34

Для синтезатора - никак. Он вставляет память как блакбокс, и о его содержимом ничего не знает, поэтому INIT_XX не использует. Блакбокс подстегивается к проекту на этапе разводки. Инициализацию нужно делать в Корегене, там есть опция типа "Загрузить инициализацию из файла", а среди generic-параметров модели для симулятора будет имя этого файла.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru