[an error occurred while processing this directive]
Странно, но факт. Может, кто то может объяснить.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено KA 30 мая 2003 г. 10:19

--AD_Data: for S in 0 to 15 generate
--IDAD: IOBUFE port map (O => DataVideoRAM_in(S),IO => AD(S),I => DataVideoRAM_out(S),E => OE_CS);
--end generate;

AD_WE_Data: for S in 0 to 15 generate
ID41: ibuf port map(O => DataVideoRAM_in(S),I => AD(S));
end generate;
AD_RD_Data: for S in 0 to 15 generate
ID42: OBUFT port map(O => AD(S),I => DataVideoRAM_out(S),T => OE_CS);
end generate;

Первая конструкция работает медленней, чем вторая.
А вот выписка из даки.

Синтезатор ISE.

For CPLDs, IOBUFE is a bi-directional buffer that is a composite of the IBUF and
OBUFT elements. The O output is X (unknown) when IO (input/output) is Z.
IOBUFEs can be implemented as interconnections of their component elements.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru