[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Safonov 20 мая 2003 г. 10:05
В ответ на: При работе с ModelSim 5.6-5.7 программа постоянно закрывается. Кто знает как с это лечить. отправлено NickS. 19 мая 2003 г. 15:55

аналогичная проблема на ряде новых машинок.
Modelsim закрываеться и в логе пишет ошибку вызова программного модуля. Пока проблему не решили, Modelsim 5.4 работает как танк.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru