[an error occurred while processing this directive]
Пишу на Verilog компилю в Quartus2.2, не совсем понятно где именно "условие" и как правильные люди делают синхронные счетчики?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Shinuz 02 апреля 2003 г. 21:39
В ответ на: Ответ: отправлено SAZH 02 апреля 2003 г. 18:45


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru