[an error occurred while processing this directive]
Читайте внимательней RPT файл(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено cdg 20 марта 2003 г. 14:10
В ответ на: Квартус так реализует :) отправлено Shinuz 19 марта 2003 г. 20:22

или формулируйте вопрос ясней, схема
формируется из 32 триггеров и дополнительно требует 16 LCELL для создания мультиплексора, а куда деваться? Ведь в 3000 4х входовые LCELL на входе каждого триггера. Вот выдержка из репорта:


Resource Usage Summary
Resource Usage
Logic cells 48 / 64 ( 75 % )
Flipflops 32 / 64 ( 50 % )
I/O pins 24 / 34 ( 70 % )
Clock pins 2
Dedicated input pins 2
Global signals 1
Shareable expanders 0 / 64 ( 0 % )
Parallel expanders 0 / 60 ( 0 % )
Cells using turbo bit 48 / 64 ( 75 % )
Maximum fan-out node Clk
Maximum fan-out 32
Total fan-out 278
Average fan-out 3.86

А вот вам текст:
module Counter (Q, Clk, Reset);
output [15:0] Q;
input Clk, Reset;
reg [15:0] Q;
always @(posedge Clk or posedge Reset)
Q = (Reset) ? 7'b0 : (Q + 1);
endmodule
module Shifter (Q, Clk, Reset,In);
output [15:0] Q;
input Clk, Reset, In;
reg [15:0] Q;
always @(posedge Clk or posedge Reset)
if (Reset) Q = 7'b0;
else begin
Q = (Q << 1);
Q[0] = In;
end
endmodule
module TEMP (Out, Clk, Reset,In, Sel);
output [15:0] Out;
input Clk, Reset, In, Sel;
reg [15:0] Out;
wire [15:0] Q_Cntr, Q_Shift;
Counter CntrTmp (Q_Cntr, Clk, Reset);
Shifter ShiftTmp (Q_Shift, Clk, Reset,In);
always @( Sel, Q_Cntr,Q_Shift)
Out = (Sel) ? Q_Cntr : Q_Shift;
endmodule




Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru