[an error occurred while processing this directive]
Вопрос об эмуляции на ActiveHDL -> Если двунаправленная шина (inout), и задать сигнал Z (с помощью Waveform Editor), то сигнал, который поступает из дизайна, не отражается на диаграмме. Как можно это исправить? (Не предлагать использовать однонаправленные шины!) Должно же это работать (на Квартусе - нет проблем).
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено engineer 16 октября 2002 г. 04:30


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru