[an error occurred while processing this directive]
Изврат, но :
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 04 октября 2002 г. 10:34
В ответ на: А что, в Libero для Actel нет LPM_FUNCTIONS ? отправлено Boban 04 октября 2002 г. 10:17

Пишешь на AHDL в максе кусочек с использованием LPM. Дальше чудной утилитой из ISE конвертишь AHDL->VHDL или AHDL->verilog (куда нравится). Только надо не забыть скопировать все исходники мегафункций к этому AHDL-файлу. А потом используешь полученный *HDL модуль по собственному усмотрению.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru