Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Там у Альтеры ошибка.

Отправлено sda 06 августа 2008 г. 13:09
В ответ на: А с какого перепуга его описывать как клок? А в моей схеме его через create_clock описать? отправлено <font color=gray>ivanov_sg</font> 29 июля 2008 г. 15:20

create_generated_clock можно вообще убрать.
А строки с set_multicycle_path должны выглядеть так:
set_multicycle_path -start 2 -to [get_fanouts [get_pins -hier enable_reg|q*] -through [get_pins -hier *|*ena*]] -setup
set_multicycle_path -start 1 -to [get_fanouts [get_pins -hier enable_reg|q*] -through [get_pins -hier *|*ena*]] -hold
Попробуйте подстроить под свой проект.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
умножьте 2 на три:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru