Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Что характерно, когда написал так (+)

Отправлено ivanov_sg 28 июля 2008 г. 14:11
В ответ на: Я тоже. отправлено sda 25 июля 2008 г. 19:25

set_multicycle_path 2 -to [get_fanouts [get_ports data_clk] -through [get_pins -hierarchical *|*ena*]] -end -setup
set_multicycle_path 1 -to [get_fanouts [get_ports data_clk] -through [get_pins -hierarchical *|*ena*]] -end -hold

т.е. в первом констрейне вместо 19 поставил 2 - квартус говорит, что все ОК. Выходит, я не понимаю что он делает, я ведь усложнил условия???


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
увеличьте 2 вдвое:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru