Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Давайте попробуем разобраться: (+)

Отправлено ivanov_sg 24 июля 2008 г. 14:33
В ответ на: Да блин.... отправлено sda 24 июля 2008 г. 14:00

Описываем клок:
create_clock -period 10.000 -name clk [get_ports {clk}]

Далее, мне надо, чтобы за 19 клоков сигнал на выходе арифм. схемы устаканился (t_setup=19):
set_multicycle_path 19 -to [get_fanouts [get_ports data_clk] -through [get_pins -hierarchical *|*ena*]] -end -setup
Я правильно понимаю, что эта строка описывает все цепи enable, связанные с data_clk?

Далее, мне надо описать t_hold=1 поскольку длительность сигнала data_clk равна 1 периоду clk:
set_multicycle_path 1 -to [get_fanouts [get_ports data_clk] -through [get_pins -hierarchical *|*ena*]] -end -hold

Я правильно мыслю?
PS: А мануал я тоже читал...


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 89:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru