Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Описать с помощью create_generated_clock, взяв частоту по максимуму (500кГц) (+)

Отправлено MobyDick 09 июня 2008 г. 14:19
В ответ на: Да Вы правы! Дальше они идут на тактовые входы счётчиков. отправлено <font color=gray>ex51</font> 09 июня 2008 г. 13:37

т.е. если clk=10МГц - указать -divide_by 20.
Но лучше тактировать всю схему от одной частоты (clk), поставив синхронизаторы на асинхронные входы.
Насчёт задержки в пол-периода clk - непонятно, про какую задержку идёт речь. Если про -waveform { 0.000 5.000 } - это не задержка, а описание скважности тактовой частоты.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
сложите 2 и 3:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru