Разработка, производство и продажа радиоэлектронной аппаратуры
|
Карта сайта
|
Пишите нам
|
В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:
jobsmp@pochta.ru
о фирме
продукция
электроника
обратная связь
Программируемые логические схемы и их применение
Страницы:
Текущая
326
325
324
323
322
321
320
319
318
317
316
315
314
313
312
311
310
309
308
307
306
305
304
303
302
301
300
299
298
297
296
295
294
293
292
291
290
289
288
287
286
Архивы 1...285
Новое сообщение
Регистрация
——> Выберите конференцию
Микроконтроллеры <31.12.2022 22:42>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <16.06.2022 18:59>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
RadioNews
Проекты
Ссылки
test
—
dlsh
(04.04.2008 07:59:55
212.67.7.94
,
пустое
)
Ответ:
—
dlsh
(04.04.2008 08:00:20
212.67.7.94
,
пустое
)
Ответ:
—
dlsh
(04.04.2008 08:00:31
212.67.7.94
,
пустое
)
test
—
dlsh
(04.04.2008 07:46:7
212.67.7.94
,
пустое
)
test
—
dlsh
(04.04.2008 07:39:35
212.67.7.94
,
пустое
)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:38:55
212.67.7.94
, 452 байт)
test
—
dlsh
(04.04.2008 07:34:52
212.67.7.94
,
пустое
)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:34:0
212.67.7.94
, 452 байт)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:23:23
212.67.7.94
, 456 байт)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:19:0
212.67.7.94
, 452 байт)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:12:34
212.67.7.94
, 452 байт)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:11:55
212.67.7.94
, 452 байт)
Возможно ли передать по одной витой паре данные используя от Altera мегафункцию ALTLVDS (+)
—
dlsh
(04.04.2008 07:10:46
212.67.7.94
, 452 байт)
ModelSim 6.3d ткните носом, плиз, где можно скачать лекарство; или замыльте мне , пожалуйста.
—
_Aquarius_
(31.03.2008 17:29:46
85.238.99.198
,
пустое
)
CameraLink и с чем его едят?
—
PastorLee
(31.03.2008 15:31:59
77.234.0.12
, 265 байт)
Ответ: Пройдут нормуль
—
axalay
(31.03.2008 16:06:37
91.188.163.131
,
пустое
)
Байтики из СП1 излечили СП3 :-)
—
Kris
(31.03.2008 15:25:54
32.58.34.195
, 42 байт)
Назначение выводов Stratix(+)
—
discreet
(31.03.2008 14:24:38
85.158.54.130
, 150 байт)
Ответ: Если мне не изменяет память то+
—
axalay
(31.03.2008 14:49:36
91.188.163.131
, 277 байт)
помогите с выбором cpld
—
loks_net
(31.03.2008 13:58:8
194.105.106.29
, 296 байт)
Я бы посоветовал EPM3128 и среду квартус. Ну и верилог для описания логики. Если захочется потом чего-то высокого и академического - VHDL освоить не проблема.
—
SM
(01.04.2008 00:38:37
80.92.255.53
,
пустое
)
Ответ: А какой сапр Вы знаете (Quartus или ISE)? Если ни того ни сего-то яб на вашем месте взял альтеру и максплюс. Ну а далее перейти на квартус
—
axalay
(31.03.2008 14:51:54
91.188.163.131
,
пустое
)
Ответ:
—
loks_net
(31.03.2008 15:24:25
194.105.106.29
, 138 байт)
поболтали но пока ответа осмысленного нету
—
loks_net
(31.03.2008 18:04:13
194.105.106.29
, 189 байт)
Ответ: Читайте повыше-вроде вполне осмысленный ответ.
—
axalay
(31.03.2008 18:29:29
91.188.163.131
,
пустое
)
Ответ: Ну вроде и посоветовал. И верилог в качестве языка. Максплюс могу дать (та версия которая потдерживает иерархию на HDL)
—
axalay
(31.03.2008 15:40:38
91.188.163.131
,
пустое
)
можно мне максплюс?
—
loks_net
(01.04.2008 16:35:53
194.105.106.29
,
пустое
)
Ответ: Могу нарезать. постучись в аську
—
axalay
(01.04.2008 16:42:34
91.188.163.131
, 40 байт)
аськи у меня нету. скинь плиз на www.disk.tom.ru
—
loks_net
(01.04.2008 17:36:42
194.105.106.29
,
пустое
)
Ответ: Завтра - мне пора-рабочий день закончен. ТОлько она весит более 100 метров, так что заливать буду 2 дня. Или найди где нет такого ограничения
—
axalay
(01.04.2008 18:19:39
91.188.163.131
,
пустое
)
Ответ: Одну половину закачал-напиши мне на мыло-я те скину ссылку
—
axalay
(02.04.2008 11:38:5
91.188.163.131
,
пустое
)
Ответ: Моя почта+
—
axalay
(02.04.2008 11:39:12
91.188.163.131
, 49 байт)
VHDL
—
Oldring
(31.03.2008 15:52:10
91.76.17.129
,
пустое
)
Ответ: :) этот спор бесконечен. Верилог более нагляден с точки зрения смехотехники
—
axalay
(31.03.2008 15:55:17
91.188.163.131
,
пустое
)
:) С точки зрения схемотехника более наглядны графические редаткторы
—
Oldring
(31.03.2008 16:02:23
91.76.17.129
, 142 байт)
Ответ: Тут речь идет о языках описания аппаратуры. :) Там то ясен пень
—
axalay
(31.03.2008 16:03:49
91.188.163.131
,
пустое
)
О языках программирования программируемой логики, как я смог заметить. ;)
—
Oldring
(31.03.2008 16:18:49
91.76.17.129
,
пустое
)
Ответ: И не только.
—
axalay
(31.03.2008 16:24:20
91.188.163.131
,
пустое
)
Хочу на Cyclon 2 поставить SDI MegaCore от Altera (+)
—
dlsh
(31.03.2008 13:07:4
212.67.7.94
, 276 байт)
Да,Вы правы.
—
sda
(31.03.2008 13:12:59
193.108.38.63
,
пустое
)
Отлично, а то меня смутило куча выводов у символа SDI
—
dlsh
(31.03.2008 13:22:50
212.67.7.94
,
пустое
)
А почему много?
—
sda
(31.03.2008 13:27:10
193.108.38.63
,
пустое
,
картинка
)
Я создал tx/rx sdi и линии типа rx_sd_refclk_337 и подобные не понял пока как применить.
—
dlsh
(01.04.2008 07:22:36
212.67.7.94
,
пустое
)
На refclk_337 нужно подать квадратурный тактовый сигнал частотой 337,5 МГц.
—
sda
(01.04.2008 08:51:10
195.39.211.231
,
пустое
)
Попытался создать подобный символ как на картинке. У меня добавляется еще вывод tx_pclk_out.
—
dlsh
(01.04.2008 09:19:42
212.67.7.94
,
пустое
)
Его можно не использовать.Почитайте SDI MegaCore Function User Guide, там всё написано.
—
sda
(01.04.2008 12:25:34
193.108.38.63
,
пустое
)
Synopsys buys Synplicity
—
valeri
(31.03.2008 07:45:56
217.117.89.75
, 153 байт,
ссылка
)
... и статья начинается с круговорота воды в природе. :-) Философия, однако!
—
zlyh
(31.03.2008 10:15:54
213.129.111.26
,
пустое
)
ISE (XILINX)
—
IS
(31.03.2008 06:39:35
80.64.89.213
, 242 байт)
Покупной работает не быстрее, просто поддерживает более емкие кристаллы. А ...{+}
—
PastorLee
(31.03.2008 15:20:13
77.234.0.12
, 208 байт)
Ответ: Давайте махнемся не глядя:) Вы мне свои 15 минут, а я вам часок
—
axalay
(31.03.2008 14:58:27
91.188.163.131
,
пустое
)
Н да 15 минут это уж слишком!! Не какой те производительности труда....
—
PeterD
(31.03.2008 13:06:55
89.232.124.84
,
пустое
)
За 15 минут проходит все этапы проекта? Нормально, даже для "среднего"
—
pashken
(31.03.2008 12:30:47
unknown
,
пустое
)
А машина-то у вас какая ??? :)
—
vinogradov
(31.03.2008 11:18:49
92.112.0.210
,
пустое
)
Ответ: AMD Sempron - 3000 (1,8 GHz), RAM - 512MB
—
IS
(01.04.2008 06:13:33
80.64.89.213
,
пустое
)
15 минут раздражает??? Какие косяки? На каком этапе тормозит? Что значит средний? Я работаю на WebPACK-е.
—
zlyh
(31.03.2008 10:04:44
213.129.111.26
,
пустое
)
Ответ: Использую ISE - WebPACK_81i...
—
IS
(01.04.2008 06:26:36
80.64.89.213
, 350 байт)
У меня 8.2i. Комп у вас приличный, проект действительно маленький.
—
zlyh
(01.04.2008 09:39:51
213.129.111.26
, 840 байт)
Ответ: Спасибо за советы, на досуге поковыряюсь.
—
IS
(01.04.2008 10:36:23
80.64.89.213
,
пустое
)
leonard0spectrum
—
amir81
(29.03.2008 21:51:35
212.227.103.74
, 179 байт)
Скажите, плиз, как на PC (80x86) организовать именно пакетную (burst) транзакцию чтенеи из памяти, а не одиночную ?
—
vinogradov
(28.03.2008 18:39:11
92.112.8.211
,
пустое
)
Все, уже и сам понял. Очнь просто, как оказалось :)
—
vinogradov
(28.03.2008 19:39:52
92.112.8.211
,
пустое
)
И как же?
—
Oldring
(28.03.2008 20:01:53
91.76.16.155
,
пустое
)
Элементарно. Прочитать слово по нывыровненному адресу. Например: (+)
—
vinogradov
(28.03.2008 20:19:45
92.112.8.211
, 289 байт)
А, в этом смысле... А просто чтение 128-битного слова не помогает?
—
Oldring
(28.03.2008 20:26:18
91.76.16.155
,
пустое
)
А как его прочитать, такое длинное ?
—
vinogradov
(28.03.2008 20:30:32
92.112.8.211
,
пустое
)
Используя SSE инструкции
—
Oldring
(28.03.2008 20:36:51
91.76.16.155
, 137 байт)
А они из реального режима доступны ?
—
vinogradov
(28.03.2008 20:47:52
92.112.8.211
,
пустое
)
Вот чего не знаю - того не знаю. Думаю что должны быть доступны: это же просто команды обработки данных.
—
Oldring
(28.03.2008 21:19:6
91.76.16.155
,
пустое
)
Будет время - попробую. Спасибо ...
—
vinogradov
(28.03.2008 21:25:43
92.112.8.211
,
пустое
)
Коллеги! Как обстоят дела с лекарством ISE10.1? На сайте предлагается свободная версия (+)
—
Петр
(28.03.2008 18:36:44
84.32.200.179
, 106 байт)
Ответ: Если лекарство уже есть, то скинте мне пожалуйста на мыло
—
STV
(01.07.2008 14:33:58
192.168.131.12,80.250.181.4
,
пустое
)
Программирование встраиваемых систем.
—
axalay
(28.03.2008 12:05:12
91.188.163.131
, 249 байт)
Это уж Вам решать + ...
—
PastorLee
(29.03.2008 22:13:13
83.149.3.112
, 346 байт)
Ответ: В том то и дело, что на С++ удобнее, а вот ходит мнение что для встраиваемых систем это из пушки по воробьям. И начальство запрещает... :)
—
axalay
(31.03.2008 13:54:39
91.188.163.131
,
пустое
)
Как мне кажется то, что C++ удобнее, это не более чем эйфория недавно изучивших плюсы плюсов...
—
SM
(31.03.2008 22:32:41
80.92.255.53
,
пустое
)
Ответ: Ну не скажи-в с++ проще опперировать регистрами внешних микросхем, да и нагляднее
—
axalay
(01.04.2008 13:58:55
91.188.163.131
, 85 байт)
А я не обсираю, а высказываю свое личное мнение. Сам так думал лет так N+1 назад, когда в плюсах разобрался, свой опыт и рассказал. (сам себя обосрал?)
—
SM
(03.04.2008 00:03:43
80.92.255.53
,
пустое
)
Начальству этого вообще знать не надо. Я особо ретивым начальникам показыва...{+}
—
PastorLee
(31.03.2008 15:27:0
77.234.0.12
, 211 байт)
Мысль верная...
—
Fanta
(31.03.2008 11:49:38
194.241.100.194
, 110 байт)
SDK не глючная, просто это свой мир Eclipse.Так сказал препод на курсах Xilinx.
—
PastorLee
(31.03.2008 15:24:17
77.234.0.12
, 244 байт)
на электрониксе есть пропагандисты идеи - там много дисскусий (поискать можно по scmRTOS)
—
yes
(28.03.2008 16:49:33
87.236.81.130
, 958 байт)
Это в микроконтроллеры :)
—
SM
(28.03.2008 12:14:22
80.92.255.53
,
пустое
)
Ответ: Это не в микроконтроллеры. Я про микроблейз в данном случае
—
axalay
(28.03.2008 12:16:2
91.188.163.131
,
пустое
)
Хм.. а что для микроблэйза уже с++ сделали?
—
Fanta
(31.03.2008 11:46:58
194.241.100.194
,
пустое
)
Ответ: угу.
—
axalay
(31.03.2008 13:55:5
91.188.163.131
,
пустое
)
А какая разница? Что микроблейз, что арм, что ниос - одного поля ягоды. Вот взять линукс - там куча всего на С, а другая - на С++, и нормально.
—
SM
(28.03.2008 12:20:45
80.92.255.53
,
пустое
)
Ответ: А вы на чем программируете? Операционки там не будет
—
axalay
(28.03.2008 12:24:19
91.188.163.131
,
пустое
)
Я на ассемблере. Но я ниосы и микроблейзы не юзал. (+)
—
SM
(28.03.2008 12:32:13
80.92.255.53
, 267 байт)
Как завести внешний поток 250-500 МB/s в комп
—
Styv
(28.03.2008 10:55:46
80.250.189.10
, 148 байт)
А какой HDD (не его интерфейс) примет такой поток?
—
zlyh
(28.03.2008 17:32:41
213.129.111.26
,
пустое
)
хороший жирный рейд на sas-драйвах примет не задумываясь.
—
SM
(28.03.2008 19:02:24
80.92.255.53
,
пустое
)
Я думаю, что комать нужно в сторону SAS.
—
SM
(28.03.2008 11:16:7
80.92.255.53
,
пустое
)
А как его (поток) завести в комп? Может есть какие платы ввода/вывода?
—
Styv
(28.03.2008 11:36:51
80.250.189.10
,
пустое
)
Вот по интерфейсу SAS и завести. Платы контроллеров SAS есть.
—
SM
(28.03.2008 11:43:35
80.92.255.53
,
пустое
)
Пока смотрим в сторону PCIe.
—
Styv
(28.03.2008 11:54:35
80.250.189.10
,
пустое
)
SAS подразумевает подключение внешних (наружних) устройств, а PCIe - нет. Для PCIe придется городить свой контроллер для связи с девайсом, а для SAS - только в девайсе его предусмотреть.
—
SM
(28.03.2008 12:02:1
80.92.255.53
,
пустое
)
Ответ:
—
SM
(28.03.2008 11:16:23
80.92.255.53
,
пустое
,
ссылка
)
Сорри зо офф
—
Vitus
(28.03.2008 08:49:25
81.200.217.30
, 393 байт)
ERSA IR550A неплоха.
—
SM
(28.03.2008 11:17:8
80.92.255.53
,
пустое
)
SDC констрейны и TimeQuest
—
Andy-P
(27.03.2008 11:45:37
83.218.236.246
, 857 байт)
ИМХО set_clock_latency надо делать не порту, а клоку (+)
—
SM
(27.03.2008 12:23:55
80.92.255.53
, 86 байт)
ДА! Без никаких ИМХО (+)
—
Andy-P
(27.03.2008 13:46:9
83.218.236.246
, 1490 байт)
Да без разницы, какой элемент меняет source_latency_included, главное что он свою функцию выполняет (+)
—
SM
(27.03.2008 14:23:7
80.92.255.53
, 308 байт)
Согласен, «надо привыкнуть и пользоваться» :) (+)
—
Andy-P
(27.03.2008 15:22:44
83.218.236.246
, 873 байт)
А это точно SPI?
—
Oldring
(27.03.2008 18:50:8
91.77.101.171
, 449 байт)
Вообще-то фиксация состояния по противоположному фронту относительно его изменения ужесточает требование к времянке ровно вдвое (если скважность клока 2)
—
SM
(27.03.2008 19:03:8
80.92.255.53
,
пустое
)
Вообще-то в этом случае допустимая задержка распространения сигналов по шине возрастает с 20 нс до половины периода клока, как легко заметить.
—
Oldring
(27.03.2008 19:13:17
91.77.101.171
,
пустое
)
Бред какой-то. (+)
—
SM
(27.03.2008 19:32:42
80.92.255.53
, 497 байт)
Отсюда
—
Oldring
(27.03.2008 19:55:45
91.76.17.25
, 569 байт,
ссылка
)
В таком случае (+)
—
SM
(27.03.2008 20:06:16
80.92.255.53
, 478 байт)
И я об этом.
—
Oldring
(27.03.2008 20:22:9
91.76.17.25
, 747 байт)
единственное, про скорость, сейчас у флешек норма для SPI 100 МГц, так что не удивлюсь и большим частотам клока в этом интерфейсе.
—
SM
(27.03.2008 20:31:37
80.92.255.53
,
пустое
)
Интересно. Давно?
—
Oldring
(27.03.2008 20:44:15
91.76.17.25
, 349 байт)
Нуу... (+)
—
SM
(27.03.2008 20:55:23
80.92.255.53
, 518 байт)
Понятно
—
Oldring
(27.03.2008 21:26:54
91.76.17.25
, 875 байт)
Вообще-то там открытым текстом написано (+)
—
SM
(27.03.2008 22:11:11
80.92.255.53
, 285 байт)
Происхождение-то как раз понятно.
—
Oldring
(27.03.2008 23:37:58
91.76.16.155
, 408 байт)
Кстати и про жтаг (+)
—
SM
(28.03.2008 11:13:22
80.92.255.53
, 337 байт)
С JTAG другая неприятность, насколько мне известно.
—
Oldring
(28.03.2008 11:33:20
91.76.16.155
, 397 байт)
да я бы не сказал, что (+)
—
SM
(28.03.2008 11:47:36
80.92.255.53
, 418 байт)
JTAG был создан в середине 80-х
—
Oldring
(28.03.2008 12:19:2
91.76.16.155
, 509 байт)
JTAG в виде стандарта был принят кажется в 90-м. А в 80-ом только думать о нем начали, и это были всякие прототипы, жтагом не имеющие правов называться (+)
—
SM
(28.03.2008 12:29:29
80.92.255.53
, 513 байт)
Я про середину 80-х
—
Oldring
(28.03.2008 12:36:37
91.76.16.155
, 101 байт,
ссылка
)
Вот, что именно ДО 90-х (+)
—
SM
(28.03.2008 12:41:27
80.92.255.53
, 405 байт)
С JTAG другая неприятность, насколько мне известно.
—
Oldring
(28.03.2008 11:33:20
91.76.16.155
, 397 байт)
(+)
—
SM
(27.03.2008 16:09:37
80.92.255.53
, 494 байт)
Уважаемые, SM и Oldring (+)
—
Andy-P
(28.03.2008 16:46:16
83.218.236.246
, 3078 байт)
Ответ: (+)
—
SM
(30.03.2008 14:14:18
80.92.255.53
, 411 байт)
Ответ: (+)
—
Andy-P
(31.03.2008 13:23:27
83.218.236.246
, 2060 байт)
Ответ: (+)
—
SM
(31.03.2008 22:37:13
80.92.255.53
, 459 байт)
Ответ: (+)
—
Andy-P
(01.04.2008 13:36:37
83.218.236.246
, 1581 байт)
В чем проблема-то? -max, -min, -rise, -fall у set_input_delay - до 4-х времянок (мин и макс для спадов и фронтов) на один сигнал. т.е. до 4-х set_input_delay на сигнал. Описать можно все, что угодно.
—
SM
(03.04.2008 00:09:41
80.92.255.53
,
пустое
)
А smpclk никому не нужен в констрейнах, если от его времянок ничего не зависит.
—
SM
(03.04.2008 00:12:51
80.92.255.53
,
пустое
)
Спасибо
—
Andy-P
(03.04.2008 23:07:32
77.121.142.4
,
пустое
)
Сори, ошибся: ... при [get_clocks adcstb], вместо [get_ports adcstb], ...
—
Andy-P
(27.03.2008 13:51:45
83.218.236.246
,
пустое
)
кто занимался переводом в ECL и обратно. Частота около гига (в том числе и лвдс). мож че подскажет-никак не вьеду.
—
axalay
(27.03.2008 11:28:10
91.188.163.131
,
пустое
)
Adnaco: PCI over fiber: собираем пожелания:
—
PicoDev
(27.03.2008 09:36:16
24.86.124.217
, 260 байт)
Ответ: Спасибо. пожалуй начнем с ExpressCard
—
PicoDev
(31.03.2008 22:16:30
206.12.18.49
,
пустое
)
Хочу ExpressCard -> fiber -> PCI/PCIe/USB :)
—
SM
(27.03.2008 11:57:59
80.92.255.53
,
пустое
)
Ответ: R1BP1 или R1USB устроит с ExpessCard?
—
PicoDev
(27.03.2008 23:08:21
206.12.18.49
,
пустое
)
вот R1BP1 с ExpressCard то что надо, А USB-хост можно и в нее воткнуть если надо :)
—
SM
(27.03.2008 23:12:44
80.92.255.53
,
пустое
)
согласен
—
Electrovoicer
(27.03.2008 17:32:57
195.131.133.163
,
пустое
)
Бэкплейны с большим количеством обычных PCI слотов не планируете?
—
Oldring
(27.03.2008 11:20:56
91.77.101.171
,
пустое
)
Ответ: Сколько надо? и для чего? Например, для тестирования в камере?
—
PicoDev
(27.03.2008 23:06:57
206.12.18.49
,
пустое
)
Простите, забыл ссылки
—
PicoDev
(27.03.2008 09:39:25
24.86.124.217
, 90 байт,
ссылка
,
картинка
)
Quartus 7.2 sp2 - Подскажите друзья как лечить - не хватает лицензий.
—
PicoDev
(27.03.2008 09:20:24
24.86.124.217
,
пустое
)
Ответ:
—
Stewart Little
(31.03.2008 18:38:19
82.140.81.2
, 77 байт)
Ответ:
—
Stewart Little
(31.03.2008 18:36:23
82.140.81.2
, 77 байт)
Need help. Mixed design in AtiveHDL behavioral simulation(+)
—
Yuris_
(26.03.2008 17:16:21
217.67.177.50
, 814 байт)
(+)
—
cdg
(27.03.2008 09:35:55
80.68.3.242
, 2678 байт)
Кгм, таки действительно чего-то не знаю (+)
—
Yuris_
(27.03.2008 12:21:59
217.67.177.50
, 336 байт)
(+)
—
cdg
(27.03.2008 17:50:42
80.68.3.242
, 2400 байт)
Спасибо, но ИМХО поделка, разочаровывает АктивХДЛ с верилогом(+)
—
Yuris_
(27.03.2008 18:39:51
217.67.177.50
, 721 байт)
Ответ:
—
cdg
(28.03.2008 08:51:0
80.68.3.242
, 494 байт)
версия 7.3, начинал как раз с вхдл библиотеки, но там, как я писал, проблемы с INIT примитивов.
—
Yuris_
(28.03.2008 11:14:23
217.67.177.50
, 349 байт)
Непонятки с памятью(+)
—
discreet
(26.03.2008 11:30:4
85.158.54.130
, 280 байт)
понижать дальше и проверять работоспособность памяти вообще+
—
id_gene
(26.03.2008 12:57:42
193.232.173.13
, 186 байт,
ссылка
)
там задержка от Addr/CS/OE до данных практически равна периоду тактовой+
—
id_gene
(26.03.2008 13:00:47
193.232.173.13
, 263 байт)
Вопрос по vhdl
—
sda
(26.03.2008 11:18:27
193.108.38.63
, 179 байт)
Ответ:
—
zlyh
(26.03.2008 15:42:9
213.129.111.26
, 99 байт)
Совсем склероз замучал...Спасибо!
—
sda
(26.03.2008 17:44:17
193.108.38.63
,
пустое
)
Или так:
—
andrew_b
(27.03.2008 08:53:18
89.18.130.241
, 105 байт)
Спасибо,так ещё удобнее.
—
sda
(27.03.2008 12:23:45
193.108.38.63
,
пустое
)
Так попробуйте!
—
dmv
(26.03.2008 13:51:39
217.23.67.58
,
пустое
)
Так не работает же!
—
sda
(26.03.2008 14:27:49
193.108.38.63
, 66 байт)
OFF/2: вопрос по HSpice(мож. кто знает): подскажите, плз, возможна ли передача значения, вычисленного в .MEASURE в параметр .PARAM??
—
kisa
(25.03.2008 19:45:36
212.176.224.73
,
пустое
)
да, но не в самом hspice, а скриптик на bash/csh придется написать.
—
SM
(26.03.2008 10:50:31
80.92.255.53
,
пустое
)
Ответ: Спасибо..т.е. средствами самого HSpice нельзя, только через файл результатов (или я не так понял)? и еще я впод виндами симуляю..
—
kisa
(26.03.2008 14:55:51
212.176.224.73
,
пустое
)
да, через файл.
—
SM
(26.03.2008 16:18:20
80.92.255.53
,
пустое
)
Ответ:Честно говоря, плохо себе представляю, как это можно сделать в одной и той же симуляции.. вообще, не думал, что HSpice так плохо пригоден для многовариантной симуляции, например та же проблема, как передать измереное значение от одной .alter в другую .alter.. выходит тоже только через файл...
—
kisa
(26.03.2008 16:39:58
212.176.224.73
,
пустое
)
Это не hspice малопригоден, а винда непригодна. А так - это норма - писать шелл-скрипты, которые вызывают hspice.
—
SM
(27.03.2008 11:42:42
80.92.255.53
,
пустое
)
Прошу, описание протокола SDcard 2
—
boardw
(25.03.2008 17:35:24
92.112.208.118
, 45 байт)
SM можете вернуться на 309страницу к вопросу с программируемыми матрицами
—
skima
(25.03.2008 15:21:45
82.207.89.11
,
пустое
)
могу. Опторазвязку сделайте - есть полно вариантов оптотиристоров.
—
SM
(26.03.2008 10:52:7
80.92.255.53
,
пустое
)
каждый вход уже развязан оптроном
—
skima
(26.03.2008 11:22:51
82.207.89.11
,
пустое
)
Я про выходы, которые релюхами управляют. А защиту по входам применить навроде USBLC6-4SC6 или -2SC6
—
SM
(26.03.2008 12:12:17
80.92.255.53
,
пустое
)
Совет по входам сейчас посмотрю документацию, а выходы реализованы , так
—
skima
(26.03.2008 12:19:46
82.207.89.11
, 210 байт)
смените 5В-релюхи на твердотельные, если есть возможность. заодно и транзисторы выкините вместе с помехами от релюх на питание.
—
SM
(26.03.2008 12:22:8
80.92.255.53
,
пустое
)
Спасибо за входы и выходы. А по питанию этот эффект не может возникать? Наблюдалость такое.
—
skima
(26.03.2008 12:29:1
82.207.89.11
, 130 байт)
может и по питанию. Этот эффект возникает от иголок с резкими фронтами по любой ноге микросхемы.
—
SM
(26.03.2008 12:45:26
80.92.255.53
,
пустое
)
Еще раз спасибо, будем думать.
—
skima
(26.03.2008 12:51:2
82.207.89.11
,
пустое
)
А сайт lattice того, кирдык? Или только у меня?
—
SM
(25.03.2008 13:22:7
80.92.255.53
,
пустое
)
ожил...
—
SM
(25.03.2008 14:42:15
80.92.255.53
,
пустое
)
Размер буфера данных устройства
—
Anatol
(24.03.2008 17:06:47
79.177.11.62
, 345 байт)
Большое спасибо всем за участие.
—
Anatol
(25.03.2008 16:17:46
79.177.11.62
,
пустое
)
нужен буфер на два пакета. Пока один отсылается, воторой заполняется. А если в качетсве моста будет нечто вроде CY7C68013 - то буфер уже в ней есть в режиме Slave FIFO.
—
SM
(24.03.2008 18:02:12
80.92.255.53
,
пустое
)
Правильно ли я понял, что достаточно CY7C68013 и внешнего ОЗУ не нужно?
—
Anatol
(25.03.2008 13:58:28
79.177.11.62
,
пустое
)
Правильно. Это если CY в режиме Slave FIFO
—
SM
(25.03.2008 14:00:56
80.92.255.53
,
пустое
)
Ответ:
—
Anatol
(25.03.2008 00:02:42
79.177.11.62
, 320 байт)
Поставь обязательно. Убрать не добавить. Мне пришлось для fx2 в слэйве FIF...{+}(+)
—
Fanta
(26.03.2008 12:16:46
194.241.100.194
, 414 байт)
Никак.
—
Oldring
(25.03.2008 11:18:11
91.77.100.129
, 206 байт)
Пишите грамотно драйвер, и перебоев не будет.
—
SM
(25.03.2008 10:08:2
80.92.255.53
,
пустое
)
Так зависит от загрузки компа. Это вопрос к Винде. Но 20МБ/с bulk-ой она вполне прокачает.
—
zlyh
(25.03.2008 08:10:13
213.129.111.26
,
пустое
)
Разный софт. Отвечу на email.
—
Vlad_23
(21.03.2008 06:55:19
79.139.146.184
, 50 байт,
ссылка
)
Продаю новые XILINX - XC2V3000-5FG676I ?
—
mkru
(20.03.2008 17:28:27
85.249.0.33
,
пустое
)
У кого-нибудь есть ядро интерфейса SDI (SMPTE-259M, он же ITU-R BT.696-4) ?
—
VG
(20.03.2008 15:28:2
213.148.165.94
, 120 байт)
А без декриптора никак? Т.е. квартус не синтезирует с известным лечением?
—
SM
(20.03.2008 16:00:34
80.92.255.53
,
пустое
)
Вылеченный квартус 7.2 не синтезирует "нормальный" проект (+)
—
VG
(20.03.2008 16:37:39
213.148.165.94
, 476 байт)
"Can't convert time-limited SOF into POF, HEX File, TTF, or RBF" означает, что пора разбираться с форматом SOF или делать сграбливалку потока программирования ПЛИС :-) - все лимиты по времени в структуре SOF забиты, раз в HEX/RBF/TTF *отказывается* конвертить
—
ReAl
(21.03.2008 10:19:8
193.111.248.46
,
пустое
)
Это не есть гут (+)
—
VG
(21.03.2008 11:42:4
213.148.165.94
, 337 байт)
А не подкинете проектик, чтобы я его скомпилить смог - мож что и придумается, чтобы не тайм-лимитед было
—
SM
(21.03.2008 12:40:46
80.92.255.53
,
пустое
)
Спасибо. Отправил по почте.
—
VG
(21.03.2008 13:32:46
213.148.165.94
,
пустое
)
только судя по тому, что сказал Stewart Little, уже нет смысла... А он знает, что говорит.
—
SM
(21.03.2008 13:35:38
80.92.255.53
,
пустое
)
Че там думать - нужно в лицензионном файле прописать соотв. фичу с правильным вендор стрингом, и будет счастье :)
—
Stewart Little
(21.03.2008 13:09:38
82.140.81.2
,
пустое
)
Подскажите плз, что именно прописать надо
—
VG
(21.03.2008 13:33:35
213.148.165.94
,
пустое
)
В почту, плз.
—
Stewart Little
(21.03.2008 14:00:57
82.140.81.2
,
пустое
)
Отмылил.
—
Stewart Little
(21.03.2008 16:09:50
82.140.81.2
,
пустое
)
или где-то есть набор фич со стрингами? ;)
—
SM
(21.03.2008 13:17:50
80.92.255.53
,
пустое
)
Таки есть :)
—
Stewart Little
(21.03.2008 13:26:14
82.140.81.2
,
пустое
)
можно думать еще на тему отучения раз и на всегда от проверки фич :) :)
—
SM
(21.03.2008 13:16:18
80.92.255.53
,
пустое
)
Этот номер не пройдет.
—
Stewart Little
(21.03.2008 13:29:58
82.140.81.2
, 288 байт)
Ясно.
—
SM
(21.03.2008 13:34:49
80.92.255.53
,
пустое
)
PCI IP Core
—
pashken
(20.03.2008 14:15:28
unknown
, 86 байт)
Хрена, тут как партизаны все..... (+)
—
switcher5
(21.03.2008 01:44:18
92.112.68.254
, 231 байт)
К июню Алтера обещает выпустить новое семейство (45нм) с встроенным аппаратным PCIe
—
Andy-P
(21.03.2008 10:48:53
83.218.236.246
,
пустое
)
LatticeSCM давно уже имеет аппаратный PCIe.
—
SM
(27.03.2008 22:46:15
80.92.255.53
,
пустое
)
Где бы посмотреть подробности? Gen1 or Gen2. Аппаратный ендпоинт или аппаратные настраиваемые блоки?
—
PicoDev
(27.03.2008 09:24:43
24.86.124.217
, 153 байт)
Все, о чем обмолвился Алтера-уполномоченный...
—
Andy-P
(27.03.2008 15:44:42
83.218.236.246
,
пустое
,
ссылка
)
А есть ещё хоть какие-нибудь подробности об ArriaGX+?
—
vmp
(21.03.2008 16:14:42
87.245.155.198
,
пустое
)
Про ArriaGX+ мне более неизвестно, а вот новости про Stratix IV можно посмотреть в той же ветке
—
Andy-P
(24.03.2008 11:11:57
83.218.236.246
,
пустое
)
Спасибо.
—
vmp
(24.03.2008 16:14:32
87.245.155.198
,
пустое
)
Ответ:
—
pashken
(21.03.2008 10:20:17
unknown
, 450 байт)
ModelSim 6.1Gкто умеет лечить?
—
Rav
(20.03.2008 13:16:4
77.40.126.1
,
пустое
)
Новое сообщение
|
Главная страница
|
Раздел "Электроника"
|
Карта сайта
|||
FAQ по конференции (на общественных началах)
Web
telesys.ru