Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ну не всё так плохо...;О) собственно, этот клок и будет самым тем клоком. Просто мне ещё понадобится в паре мест(изолированных от схемы) более высокая частота.

Отправлено -mse- 19 февраля 2008 г. 16:14
В ответ на: А что - синхронную схему никак не сделать? Явно какие-то асинхронные сопли видны. И с прескалера надо брать никак не клок, а сигнал разрешения. Клок должен быть один. В общем в консерватории бардак полный. отправлено SM 19 февраля 2008 г. 15:49

В этом примере вход один - цлоск и море выходов.
Счоччик сбрасывается комбинаторным сигналом, стробируемым от цлоск.

always @(posedge clock)
vertProgressEnd<=stopSign;

Т.е. всё кошерно. Если бы была какая иголка, она бы растянулась на такт.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 69:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru