Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено SAZH 24 октября 2007 г. 15:25
В ответ на: Как описать более компактно(+) отправлено <font color=gray>discreet</font> 24 октября 2007 г. 13:25

В общем случае наверно так
module shift_load_rga
#(
parameter N = 4,
parameter WIDTH = 8
)
(
input clk,
input sload,
input [N-1:0] in,
output [WIDTH-1:0] out
);

reg [WIDTH-1:0] in_rg [N-1:0];

integer i;

always @(posedge clk)
begin
for(i = 0; i < N; i = i + 1)
if (sload == 1'b0) in_rg[i] <= {in_rg[i][WIDTH-2:0], in[i]};
else begin
if (i==0) in_rg[i] <= in[i];
//if (i==0) in_rg[i] <= in_rg[i];
else in_rg[i] <= in_rg[i-1]; end
end

assign out = in_rg[N-1];

endmodule



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 97:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru