Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Поглядите пожалуйста код, на входе инвертированный ШИМ и клок, задача - получить комплемнтарный инвертированный ШИМ с мертвым временем

Отправлено OlegPowerC 03 октября 2007 г. 20:33
В ответ на: На верилоге так (на vhdl очень похоже) (+) отправлено SM 03 октября 2007 г. 18:13

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity logic is port(
clk : in std_logic;
PWM1 : in std_logic;
PWM1_out : out std_logic;
PWM1_low_out : out std_logic
);
end logic;

architecture logicar of logic is
signal delay : std_logic_vector(8 downto 0);
begin
process(delay,clk)
begin
if(clk'event and clk ='1')then
delay(0) <= PWM1;
delay(1) <= delay(0);
delay(2) <= delay(1);
end if;

if delay(0) = '0' then
PWM1_out <= delay(2);
PWM1_low_out <= not delay(0);
elsif delay(0) = '1' then
PWM1_out <= delay(0);
PWM1_low_out <= not delay(2);
else
PWM1_out <= '1';
PWM1_low_out <= '1';
end if;
end process;
end logicar;

Мертвое время соответственно 2 периода clk



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 56:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru