Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено zlyh 05 октября 2007 г. 11:08
В ответ на: А можно поподробнее отправлено <font color=gray>OlegPowerC</font> 04 октября 2007 г. 16:03

Читаем: Douglas Smith "HDL Chip Design" и хелпы на синтезатор.


library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

...

architecture arch of ... is
begin

-- asynchronous reset
asyn_p: process (Reset, Clk) is
begin
if (Reset = '1') then
rreg <= '0';
elsif rising_edge(Clk) then
rreg <= iinnppuutt;
end if;
end process asyn_p;

-- synchronous reset (clear)
syn_p: process (Clk) is
begin
if rising_edge(Clk) then
if (Clear = '1') then
rreg <= '0';
else
rreg <= iinnppuutt;
end if;
end process syn_p;

end architecture arch;




Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
что получится, если сложить 4 и 4 ?

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru