Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Я делаю так: (+)

Отправлено arreg 05 сентября 2007 г. 12:29
В ответ на: Люди проконсультируйте по загрузке ПЛИС Altera. отправлено <font color=gray>ex51</font> 05 сентября 2007 г. 09:42

__hugeflash unsigned char FPGA_DATA[]={
#include "..\fpga\DelayLine1.ttf"
};

PORTC = (0 << hFPGA_nCONFIG)|(1 << hFPGA_MSEL0)|(0 << hFPGA_MSEL1) | (1 << hFPGA_nSTATUS)|(1 << hFPGA_CONF_DONE);
DDRC = (1 << hFPGA_nCONFIG)|(1 << hFPGA_MSEL0)|(1 << hFPGA_MSEL1) | (1 << hFPGA_DATA0)|(1 << hFPGA_DCLK) | (0 << hFPGA_nSTATUS)|(0 << hFPGA_CONF_DONE);


unsigned char Configure_FPGA(void) {
unsigned long int i; unsigned char b,j;

clrbit(PORTC,hFPGA_nCONFIG);
__delay_cycles(100*mks); if(tstbit(PINC,hFPGA_nSTATUS)!=0) return(1);

setbit(PORTC,hFPGA_nCONFIG); __delay_cycles(100*mks);

if(tstbit(PINC,hFPGA_nSTATUS)==0) return(2);
if(tstbit(PINC,hFPGA_CONF_DONE)!=0) return(3);

for(i=0;i b=FPGA_DATA[i];
for(j=0;j<8;j++) {
if(tstbit(b,j)!=0) setbit(PORTC,hFPGA_DATA0); else clrbit(PORTC,hFPGA_DATA0);
//__delay_cycles(mks/100); // 0.5 us (min=0.05us)
setbit(PORTC,hFPGA_DCLK); //__delay_cycles(mks/100); // 0.5 us (min=0.08us)
clrbit(PORTC,hFPGA_DCLK); //__delay_cycles(mks/100); // 0.5 us (min=0.00us)
}
}
clrbit(PORTC,hFPGA_DATA0); setbit(DDRC,hFPGA_DATA0); // не отпускаем линию DATA
clrbit(PORTC,hFPGA_DCLK); setbit(DDRC,hFPGA_DCLK); // не отпускаем линию DCLK

__delay_cycles(30*mks); // до перехода в USER MODE

if(tstbit(PINC,hFPGA_CONF_DONE)==0) return(5); // Конфигурирование не завершено

if(tstbit(PINC,hFPGA_nSTATUS)==0) return(6); // Ошибка в конфигурации

return(77); // всё в порядке!
}



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
отымите от 2 единицу:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru