[an error occurred while processing this directive]
Ответ: Пардон, описался на 9 триггерах внутри(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
миниатюрный аудио-видеорекордер mAVR

Отправлено cdg 26 июля 2002 г. 16:12
В ответ на: Ответ: А в схематике обходится 10 триггерами -:), наверное граф нужно нарисовать по другому(-) отправлено cdg 26 июля 2002 г. 15:06

CONSTANT cntmax = 250;

SUBDESIGN alarm2
(
clk, clear, inpt : INPUT;
alarm_led : OUTPUT;

)
variable
ss : dff;
counter[7..0] : dffe;
counter_ena : node;
counter_sclr : node;
BEGIN

ss.clk = clk;
ss.clrn = !clear;
ss.d = inpt;
counter_sclr = inpt XOR ss.q;
counter_ena = !(counter[].q==cntmax);
counter[].clk = clk;
counter[].clrn = !clear;

counter[].ena = counter_sclr or counter_ena;
if (inpt XOR ss.q) then counter[].d = gnd;
else counter[].d = counter[].q + 1;
end if;
alarm_led = !counter_ena;
END;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru