Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ: криво вышло...

Отправлено Пушкин 14 июня 2007 г. 23:32
В ответ на: Ответ: Рискну предположить... отправлено <font color=gray>Пушкин</font> 14 июня 2007 г. 23:29

reg clk_load_edge_det;
reg clk_load_s;
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
begin
clk_load_edge_det <= 1'b0;
clk_load_s <= 0;
end
else
begin
clk_load_edge_det <= clk_load;
clk_load_s <= (clk_load_edge_det,clk_load}==2'b01); end
end

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 12:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru