Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено SAZH 08 июня 2007 г. 11:20
В ответ на: SAZH а какая методика отлатки проекта у Вас. имхо в квартусе не очень удобно отлаживать модули. Я так и не понял можно ли в квартуса писать ТЕстБенчь модели и симулировать проект в нем же.. отправлено PeterD 08 июня 2007 г. 10:52

Тут я Вам не советчик. Когда много лет работаешь в графическом редакторе и другого ничего нет как то не задумываешься об удобствах.
Мне до сих пор проще в встроенном симуляторе графические воздейcтвия набросать, чем тестбенч написать. А методика отладки осталась прежней. Нужно так модуль описать, чтобы он работал в составе любого проекта так и любой системы проектирования. Методика что для графического редактора, что для текстового описания одна и таже. Есть стандартные требования к макросу. У Полякова хорошо это расписано.
Если сравнивать квартус и ise (чисто визуально), даже по графическому интерфейсу они очень похожи. И в состав и того и другого входит возможность в графическом виде создавать входные воздействия для моделирования. Интересно, почему там про удобства не спрашивают. Да потому что в графическом редакторе не работают. (Уже не мыслят графическими образами. мыслят поведенчески, процессами), а это уже моделсим, который все автоматом ставят. И у квартуса с этим нет проблем. Квартус может создать Вам тестбенч.Ставьте моделсим и на здоровье.Естть еще один нюанс. Почему в квартусе проще. Потому что система моделирования по "включению питания" автоматом учитывает инициализацию например FPGA, и процесс моделирования начинается из установки всех регистров в ноль. Моделсим оперирует процессами. Все установки в состоянии неопределенности. Для качественной проверки проекта очень полезно бывает посмотреть, как Ваш проект будет "вылезать" из этого неопределенного состояния. Ну а если в квартусе и моделсиме вы получите одни и те же результаты, это наверно в двойне хорошо.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 387:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru