Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

в чём может быть ошибка, возникающая в процессе работы утилиты MAP (Xilinx ISE)

Отправлено SeaGuLL 23 марта 2007 г. 10:53


в процессе работы утилиты MAP (Xilinx ISE)
выдается следующая ошбика:

MapLib:661 - LUT2 symbol "_and00001" (output signal=_and0000) has input signal "TX_CHAR_IS_K" which will be trimmed. See the trim report for details about why the input signal will become undriven.

почитал описание ошибки на сайте Xilinx. Они утверждают, что ошибка могла быть вызвана некорректным использованием Adder/Subtracter Core v6.0. Хотя при написании кода, Adder/Subtracter Core v6.0 вообще не использовался.

заранее спасибо за помощь! ;)


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 90:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru