Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Виртуальным пином Altera предполагала разрешать проблемы модульного проектирования (+)

Отправлено Andy-P 07 марта 2007 г. 14:26
В ответ на: так если он делается чтобы не заоптимизировать то тем более непонятно зачем - ведь его появление повлияет на весь проект - а это не есть правильно - тем более возникает ВОПРОС - зачем он такой нужен? для каких целей отправлено <font color=gray>_</font> 07 марта 2007 г. 10:41

When you compile a design in the Quartus II software, all I/O ports are
directly mapped to a pin on the targeted device. This I/O port mapping
may create problems for a modular/hierarchical design because lowerlevel
modules may have more I/O ports than pins available on the
targeted device. Many of these I/O ports will not directly feed into a
device pin, but are used to drive internal nodes. The Quartus II software
supports virtual pins to accommodate this situation. Virtual pin
assignments direct the Quartus II software which I/O ports of the design
module become internal nodes in the top-level design. These assignments
prevent the number of I/O ports in the lower-level module from
exceeding the total number of available device pins.

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
сколько миллиампер в одном ампере ?:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru