[an error occurred while processing this directive]
может уже не надо. это на VHDL.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
миниатюрный аудио-видеорекордер mAVR

Отправлено Andrew Buckin 15 июля 2002 г. 18:15
В ответ на: Кто может кинуть на мыло простейший сдвиговый регистр на AHDL (Параллельная загрузка - последовательный вывод. (+) отправлено Виноградов Алексей 12 июля 2002 г. 16:47

library IEEE;
use IEEE.std_logic_1164.all;

entity shft_reg8 is
port (
CLK : in std_logic;
LOAD : in std_logic;
DATA : in std_logic_vector(7 downto 0);
SO : out std_logic
);
end entity;

architecture shft_reg_arch of shft_reg8 is
signal TEMP_SO : std_logic_vector(7 downto 0);
begin

process(CLK)
begin
if rising_edge(CLK) then
if LOAD = '1' then
TEMP_SO <= DATA;
else
TEMP_SO <= '0' & TEMP_SO(7 downto 1);
end if;
end if;
end process;

SO <= TEMP_SO(0);

end architecture;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru