Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

Ответ:

Отправлено SAZH 19 января 2007 г. 17:50
В ответ на: Всем привет. Ковыряю исходники... (+) отправлено <font color=gray>P_Andrey</font> 19 января 2007 г. 14:41

по этой части схемы смысл трудно понять. Два делителя на 33.
А оптимизации при таком описании нигде не будет.
Будет, если строчки по другому отсортировать.

module ct
(
input clk,
input reset,
output impuls_a, impuls_b
);

reg [5:0] cnt, cnt1;

always @(posedge clk)
begin
if(reset || cnt[5]) begin
cnt <= 6'd0;
cnt1 <= 6'd0;end
else begin
cnt <= cnt + 1'b1;
cnt1 <= cnt1 + 1'b1; end
end

assign impuls_a = cnt[5],
impuls_b = cnt1[5];

endmodule


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 69:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru