Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Программируемые логические схемы и их применение»

А можно ли получить дифференциальный выход в Virtex2 при стандарте SSTL2?

Отправлено L_Konstantin 07 декабря 2006 г. 09:28


Когда пишу таким образом:
DDR_CLK1_OBUFDS : OBUFDS
generic map (
IOSTANDARD => "LDT_25")
port map (
O => DDR_CLK_1_P,
OB => DDR_CLK_1_N,
I => DDR_DCM_Clock );
Все получается красивейшим образом: сигнал заходит в один IOB, там делится на 2 (добавляется отрицание), затем уже непосредственно из этого IOBа сигнал передается в соответсвующий ему IOB по паре.

а если я пишу так:
DDR_CLK0_OBUFDS : OBUFDS
generic map (
IOSTANDARD => "DIFF_SSTL2_II_DCI")
port map (
O => DDR_CLK_0_P,
OB => DDR_CLK_0_N,
I => DDR_DCM_Clock );

То на каждый IOB идет оддельный сигнал.

Поясните плих ситуацию, кто может....



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа

Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 
если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 76:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru