[an error occurred while processing this directive]
Спасибо за помощь! Получилось!
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено kybikrybik 07 ноября 2006 г. 18:18
В ответ на: Вроде все верно, но проверьте clock отправлено <font color=gray>Shtirlits</font> 06 ноября 2006 г. 17:21

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;

entity hello is
port (
CLOCK :in std_logic;
LOAD :in STD_LOGIC;
FIOWEA :in std_logic;
DATAIN :STD_LOGIC_vector(7 downto 0);
LED :out STD_LOGIC_vector(7 downto 0)
);
end hello;

architecture fpslic of hello is
signal out_led_clk :std_logic;
begin

process (CLOCK,LOAD,FIOWEA)
begin
out_led_clk<= CLOCK and LOAD and FIOWEA;
end process;

process(out_led_clk)

begin
if rising_edge(out_led_clk) then

LED(0)<=DATAIN(0);
LED(1)<=DATAIN(1);
LED(2)<=DATAIN(2);
LED(3)<=DATAIN(3);
LED(4)<=DATAIN(4);
LED(5)<=DATAIN(5);
LED(6)<=DATAIN(6);
LED(7)<=DATAIN(7);
end if;

end process;

end fpslic;

------------------------------------------------
FIGARO IDS использует ADOUTx x=0..7 справа микросхемы,
а раньше резервировал пины под DATAINx x=0..7.
Выглядело это так: LEDx - розовые пины, а DATAIN - ораньжевые, рядом с пинами LEDx.
Если присваивать LED<=DATAIN, то FIGARO не разводит как надо.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание