[an error occurred while processing this directive]
NgdBuild: logical block BSCAN_SPARTAN3 could not be resolved ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 02 ноября 2006 г. 10:47

NgdBuild tells: ERROR:NgdBuild:604 - logical block 'BSCAN_SPARTAN3_u' with type 'BSCAN_SPARTAN3' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, or the misspelling of a type name. Symbol 'BSCAN_SPARTAN3' is not supported in target 'spartan3'.

Есть ошибка в Libraries Guide (8.1i). В компоненте BSCAN_SPARTAN3 в именах пинов TD01, TD02 используется цифра ноль вместо англицкой буквы "О".
Должна быть буква.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание