[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 27 сентября 2006 г. 16:52
В ответ на: Ответ: отправлено <font color=gray>Dmitry_K</font> 27 сентября 2006 г. 16:29

Да, скопировать в текстовый редактор, создать проект с этим именем.
Это все сделал не я а мегавизард.

INCLUDE "lpm_fifo.inc";
SUBDESIGN fifo
(
data[9..0] : INPUT;
wrreq : INPUT;
rdreq : INPUT;
clock : INPUT;
q[9..0] : OUTPUT;
full : OUTPUT;
empty : OUTPUT;
usedw[10..0] : OUTPUT;
)

VARIABLE

lpm_fifo_component : lpm_fifo WITH (
LPM_WIDTH = 10,
LPM_NUMWORDS = 2048,
LPM_WIDTHU = 11,
LPM_SHOWAHEAD = "OFF",
LPM_HINT = "USE_EAB=ON,MAXIMIZE_SPEED=5"
);

BEGIN

usedw[10..0] = lpm_fifo_component.usedw[10..0];
empty = lpm_fifo_component.empty;
q[9..0] = lpm_fifo_component.q[9..0];
full = lpm_fifo_component.full;
lpm_fifo_component.rdreq = rdreq;
lpm_fifo_component.clock = clock;
lpm_fifo_component.wrreq = wrreq;
lpm_fifo_component.data[9..0] = data[9..0];
END;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание