[an error occurred while processing this directive]
это не мои ответы, но все вместе. в какой момент выкидывает?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Ациль Шифер 13 августа 2006 г. 15:03
В ответ на: С ISE8.2 modelsim 5.8 сработается нет? Чет не желает у меня отправлено axalay 10 августа 2006 г. 15:39

Все делается в ModelSim (+)
vlib $VHDL_LIB/unisim
vmap unisim $VHDL_LIB/unisim
vcom -93 -work unisim -nodebug $XILINX/vhdl/src/unisims/unisim_VPKG.vhd
vcom -93 -work unisim -nodebug $XILINX/vhdl/src/unisims/unisim_VCOMP.vhd
vcom -93 -work unisim -nodebug $XILINX/vhdl/src/unisims/unisim_VITAL.vhd
vcom -93 -work unisim -nodebug $XILINX/vhdl/src/unisims/unisim_SMODEL.vhd

$VHDL_LIB --- это место, где лежат библиотеки. Эту переменную можно либо определить, либо поставить вместо нее реальный путь.
$XILINX --- это, думаю, понятно.
1.,2. лицген итп.
3. Скомпилировать библиотеку элементов Xilinx для этого:
а) должны быть установлены следующие переменные среды env vars
PATH = C:\XILINX\bin\nt
Modeltech = C:\Modeltech_5.7d\win32
LM_LICENSE_FILE = C:\Modeltech_5.7d\win32\license.dat
COMPXLIB_SIM_PATH = C:\modeltech_5.7d\win32
б) Не забываем перегрузиться. reboot
в) в командной строке запускаем in command prompt type
compxlib -s mti_se -f all -l all -o c:\modeltech_5.7d\xilinx_libs -p c:\Modeltech_5.7d\win32

4. Указываем путь к библиотекам для этого правим c:\Modeltech_5.7d\modelsim.ini,
в блоке [Library] добавляем
UNISIMS_VER = c:\modeltech_5.7d\xilinx_libs\unisim_ver
UNI9000_VER = c:\modeltech_5.7d\xilinx_libs\uni9000_ver
SIMPRIMS_VER = c:\modeltech_5.7d\xilinx_libs\simprim_ver
XILINXCORELIB_VER = c:\modeltech_5.7d\xilinx_libs\XilinxCoreLib_ver
AIM = c:\modeltech_5.7d\xilinx_libs\abel\aim
UNISIM = c:\modeltech_5.7d\xilinx_libs\unisim
SIMPRIM = c:\modeltech_5.7d\xilinx_libs\simprim
XILINXCORELIB = c:\modeltech_5.7d\xilinx_libs\XilinxCoreLib
PLS = c:\modeltech_5.7d\xilinx_libs\abel\pls

5. Ну теперь блин, все должно работать.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание