[an error occurred while processing this directive]
Как использовать свой тип в разделе GENERIC top-level entity?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено tivocr 28 июля 2006 г. 12:23

Имею фрагмент типа:

ENTITY MAC_HWIF IS
type LOOPBACK_TYPE is (NO_LOOP, LAN_LOOP, WAN_LOOP, DMA_LOOP);
GENERIC (LOOPBACK : LOOPBACK_TYPE:=NO_LOOP);
PORT...

конструкция не работает, т.к. тип объявлен в разделе entity (я так понял, что этого делать нельзя) а если его объявить позже, то generic прохавывается. Тут ведь нет отложенного объявления (типа forward в паскале)?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание