[an error occurred while processing this directive]
Вопрос к знатокам Active HDL & Synplify :
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено malFish 18 июля 2006 г. 17:30

Пишу на верилоге :

multr = 306*r /*synthesis syn_multstyle = "logic"*/;

т. е. не хочу , чтобы синтезатор задействовал встроенные
умножители( у меня Spartan 3),
после синтеза кол-во умножителей не уменьшилось. Что не так ?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание