[an error occurred while processing this directive]
|
вопрос к уважаемым,
фифо вот приспосабливаю к ацексу. использовал ранее его для xilinx, в качестве памяти был BRAM4_s16_s16 ну а теперь переехал на altdpram.
выглядит это примерно так:
library altera_mf;
use altera_mf.altera_mf_components.all;
....
...
component altdpram
generic
(
width : natural
;widthad : natural
;numwords : natural
);
port
(
wren : in std_logic
;data : in std_logic_vector
;wraddress : in std_logic_vector
;inclock : in std_logic
;rden : in std_logic
;rdaddress : in std_logic_vector
;outclock : in std_logic
;q : out std_logic_vector
);
end component;
....
....
.....
BLOCK_RAM:
altdpram
generic map (
width => WIDTH
,widthad => AW
,numwords => 512 )
port map (
outclock => clk ,inclock => clk
,rdaddress => rptr ,wraddress => wptr
,q => Q ,data => D
,rden => '1' ,wren => wr
);
.....
ну и тд..
при синтезе synplify85 в выходном едифе появляется цел с именем
altdpram_work_bfifo_cc_arc_1
при том чито в логе синплифая указывалось что altdpram упакован в блекбокс
и ессно квартус потом ругается.
ткните носом плз. чего подкрутить надобно