[an error occurred while processing this directive]
Интересная особенность применения GCLKIO (spartan 2, ise6.2 +leo 2004)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Инженер Гарин 06 мая 2006 г. 13:22

вводная:
у спартана 4 ноги GCLK, 4 буффера GCLK.
в проекте 4 глобальных клока, 2 внутренних сигнала 2 внешних с ног GCLK. т.е. 2 ноги gclk используются как обычные инпуты.

1 серия экспериментов
те 2 ноги glck что должны идти через буффера glck протягивал через (ibufg/ bufgp/ bufg), две другие просто заводил без буферов в тексте.
2 внутренних глобальных клока пропускал через bufg.

результат:
ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB
component:
PAD symbol "but_r(0)" (Pad Signal = but_r(0))
BUF symbol "but_r_ibuf(0)" (Output Signal = but_r_int(0))
Each of the following constraints specifies an illegal physical site for a
component of type IOB:
Symbol "but_r(0)" (LOC=P88)
Please correct the constraints accordingly.

по другой ножке тоже самое - т.е. 2 ноги с обычными инпутами не может разместить! или развестить?!

тезис:
смотрел в fpga editor прошлые свои проекты - и увидел что принципиально хилинх умеет брать прямо с gclk-ноги сигнал и вести его мимо буфферов через pin wires на local lines. хотя во всех пидиэф-ах кричат что с таких ног соединение может быть только на bufg.

финальная серия экспериментов
те 2 ноги glck с глобальными клоками просто заводил без буферов
две другие протягивал через ibufg в тексте.
2 внутренних глобальных клока пропускал через bufg.

и вот только так удалось все замаппить, наплейсить и отроутить.
в fpga editor - все наоборот по сравнению с текстом:
*те 2 ноги glck с глобальными клоками, которым я не давал буферов в тексте проведены через них!
*которым давал буфера в тексте очень мило прошли мимо них!
по-моему все наоборот по сравнению с текстом

Вопрос
лыжи или я?

Просьба
если кто чего понял из объяснений -дайте свои комментарии

PS
цитата из официального lib.pdf

For Virtex, VirtexE, and Spartan-II, IBUFG and its selectIO variants (listed in the
"Components" column in the table below) are dedicated input buffers for connecting to the clock buffer BUFG or CLKDLL...

The Xilinx implementation software converts each BUFG to an appropriate type of global buffer for the target PLD device. The IBUFG output can only be connected to the CLKIN input of a CLKDLL or to the input of a BUFG. The IBUFG can only be driven by an IPAD.

X9444

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru