[an error occurred while processing this directive]
ALTLVDS in Cyclone2. Не назначить I/O standard = LVDS для tx_outclock
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено yurich 25 апреля 2006 г. 17:04

Создаем LVDS Transmitter Мегавизардом.
4 канала, х10.
Назначаем всем 4 выходным сигналам tx_out тип LVDS. Назначаем тип LVDS и для tx_outclock.
Получаем при компиляции:
Error: Can't place pin LVDSTX:inst|altlvds_tx:altlvds_tx_component|lvds_tx_ju41:auto_generated|ddio_out_1j7:outclock_ddio|ddio_outa[0] with differential I/O standard -- no legal location available on target device
Error: Pin "LVDSTX:inst|altlvds_tx:altlvds_tx_component|lvds_tx_ju41:auto_generated|ddio_out_1j7:outclock_ddio|ddio_outa[0]" with LVDS I/O standard must use dedicated external clock output of fast PLL

Если поставить single-ended, 2,5V например, то все компилится.

Для tx_outclock назначен PLLx_OUT пин. Вроде все по науке. Dedicated external clock output пользуем.

Если дать Квартусу самому пин назначить, то назначает не PLLx_OUT, а какой-то балдовый пин.

Встречал кто-нибуть такое?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru