[an error occurred while processing this directive]
VerilogHDL.chl (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено druzhin 14 апреля 2006 г. 17:38
В ответ на: Кстати, от UCF highlighter не откажусь ... Пришлите, плиз отправлено <font color=gray>Victor®</font> 11 апреля 2006 г. 17:52

//////////////////////////////////////////////////////////////////////////////
//
// Verilog HDL highlighter written by druzhin
//
//////////////////////////////////////////////////////////////////////////////

//////////////////////////////////////////////////////////////////////////////
// language name

Language: Verilog HDL


//////////////////////////////////////////////////////////////////////////////
// default file filter
// note: if more than one extension is associated, eg:
// C/C++ files (*.c,*.cpp,*.h,*.hpp)|*.c;*.cpp;*.h;*.hpp

Filter: Verilog files (*.v,*.ver,*.vlog)|*.v;*.ver;*.vlog


//////////////////////////////////////////////////////////////////////////////
// help file which will be invokend when F1 is pressed

HelpFile:


//////////////////////////////////////////////////////////////////////////////
// language case sensitivity
// 0 - no
// 1 - yes

CaseSensitive: 1


//////////////////////////////////////////////////////////////////////////////
// comment type: LineComment - comment to the end of line
// BlockCommentBeg - block comment begin, it could be
// multiline
// BlockCommentEnd - block comment end

LineComment: //
BlockCommentBeg: /*
BlockCommentEnd: */


//////////////////////////////////////////////////////////////////////////////
// identifier characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char

IdentifierBegChars: a..z A..Z
IdentifierChars: 0..9 a..z A..Z _


//////////////////////////////////////////////////////////////////////////////
// numeric constants begin characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char
// number always starts with 0..9 except when NumConstBeg
// defines other

NumConstBegChars: 0..9 '


//////////////////////////////////////////////////////////////////////////////
// numeric constants characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char
// number always starts with 0..9 except when NumConstBeg
// defines other

NumConstChars: 0..9 A..F a..f HOXZhoxz_


//////////////////////////////////////////////////////////////////////////////
// escape character

EscapeChar: \


//////////////////////////////////////////////////////////////////////////////
// keyword table
// note: delimited with spaces, lines could be wrapped
// you may divide keywords into two groups which can be
// highlighted differently

//
KeyWords1: module endmodule table endtable primitive endprimitive
task endtask function endfunction specify endspecify
always initial repeat disable fork join forever while for if else
assign deassign force release default parameter defparam specparam
event edge wait ifnone input output inout

//
KeyWords2: posedge negedge
wire tri tri0 tri1 wand triand wor trior
reg real integer real realtime time genvar
supply0 supply1 strong0 strong1 pull0 pull1
small medium large highz1 highz0
and nand or nor xor xnor weak0 weak1
buf not bufif0 bufif1 notif0 notif1
tran rtran tranif0 tranif1 rtranif0 rtranif1
comos rcmos nmos pmos rnmos rpmos
fs ps ns ms us s

//
KeyWords3: timescale resetall default_nettype unconnected_drive
include define undef ifdef else endif
fopen fclose stop fdisplay display time

//
KeyWords4: begin end case casez casex endcase generate endgenerate

//
KeyWords5:
BUF BUFG IBUF IBUFG IOBUF OBUF BLKMEMDP_V6_1 SRL16

//////////////////////////////////////////////////////////////////////////////
// string delimiter: StringBegChar - string begin char
// StringEndChar - string end char
// MultilineStrings - enables multiline strings, as perl
// has it

StringBegChar: "
StringEndChar: "
MultilineStrings: 1


//////////////////////////////////////////////////////////////////////////////
// use preprocessor: 0 - no
// 1 - yes
// note: if yes, '#' and statements after it will be
// highlighted with Preprocessor defined colors

UsePreprocessor: 0


//////////////////////////////////////////////////////////////////////////////
// highlight line: 0 - no
// 1 - yes
// note: if yes, current line will be highlighted

CurrLineHighlighted: 1


//////////////////////////////////////////////////////////////////////////////
// colors
// note: first value is foreground, second is background color
// and third (optional) represents font attribute:
// B - bold
// I - italic
// U - underline
// S - strike out
// attributes can be combined: eg. B or BI
// as value, it could be used any standard windows color:
// clBlack, clMaroon, clGreen, clOlive, clNavy,
// clPurple, clTeal, clGray, clSilver, clRed, clLime,
// clYellow, clBlue, clFuchsia, clAqua, clLtGray,
// clDkGray, clWhite, clScrollBar, clBackground,
// clActiveCaption, clInactiveCaption, clMenu, clWindow,
// clWindowFrame, clMenuText, clWindowText, clCaptionText,
// clActiveBorder, clInactiveBorder, clAppWorkSpace,
// clHighlight, clHighlightText, clBtnFace, clBtnShadow,
// clGrayText, clBtnText, clInactiveCaptionText,
// clBtnHighlight, cl3DDkShadow, cl3DLight, clInfoText,
// clInfoBk
// as value, it could be used hex numeric constant too:
// $BBGGRR - BB: blue, GG: green, RR: red, eg: $FF6A00

SpaceCol: $000000C0 clWhite
Keyword1Col: clBlue clWindow
Keyword2Col: $00C000C0 clWindow
Keyword3Col: $00009595 clWindow
IdentifierCol: clBlack clWindow
CommentCol: $0000C000 clWindow I
NumberCol: $008A8A00 clWindow B
StringCol: clRed clWindow
SymbolCol: $000000C0 clWindow
PreprocessorCol: clYellow clAqua
SelectionCol: $0040FFFF $00909090
CurrentLineCol: clBlack $00D2FFFF
OverrideTxtFgColor: 0
BlockAutoindent: 1
BlockBegStr: begin
BlockEndStr: end
Keyword4Col: $00FF0090 clWhite
Keyword5Col: clMaroon clWhite
MatchedBracesCol: clWindowText clWhite

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru