[an error occurred while processing this directive] [an error occurred while processing this directive]
Подскажите, пожалуйста, по AHDL ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Леха 22 мая 2002 г. 18:35

SUBDESIGN STM
(
In,Reset,Clk : INPUT;
Out1,Out2 : OUTPUT;
)
VARIABLE
SM : MACHINE WITH STATES
(ST1,ST2,ST3);
BEGIN
SM.clk = Clk;
SM.reset = Reset;
TABLE
SM, In => Out1, Out2, SM;
St1, 0 => 0, 0, St1;
St1, 1 => 0, 1, St2;
...........

Вот фрагмент реализации конечного автомата. Здесь выходы Out1 и
Out2 могут принимать значения 0 или 1. А если необходимо чтобы в
определенном состоянии один или оба выходных сигнала переходили в
третье состояние, как это в программе сделать может кто подскажет?
Зарание спасибо.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru