[an error occurred while processing this directive]
Проблема с ModelSim WARNING[1]: micro_test_post.vhd(282): No default binding for component
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено rules 23 ноября 2005 г. 19:26

Проблема такая:
Скачал xess333.zip с Xilinx все работает, но когда добавляеш файлы например gnome.vhd ит добавляеш сomponent gnome в micro_test_post.vhd, modesim при иницыализации симуляции выдает

# WARNING[1]: micro_test_post.vhd(282): No default binding for component: "gnome". (No entity named "gnome" was found)

В инете есть описание похожих ошибок но там я не смог найти решение
вот код micro_test_post.vhd

library IEEE;
use IEEE.std_logic_1164.all;

entity MICRO_TEST_POST is
end MICRO_TEST_POST;

architecture archMICRO_TEST_POST of MICRO_TEST_POST is

--********************************** Component Declarations **************************


-------------------------------------------------------------
component gnome port
(
clk: IN STD_LOGIC; -- clock (naturally)
reset: IN STD_LOGIC; -- reset control input
address: OUT STD_LOGIC_VECTOR (6 DOWNTO 0); -- address to external RAM
data: INOUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- data bus to external RAM
i2c_data: INOUT STD_LOGIC_VECTOR (3 DOWNTO 0); -- data bus to i2c
--i2c_address: INOUT STD_LOGIC_VECTOR (7 DOWNTO 0); -- address register master&slave
i2c_control: INOUT STD_LOGIC_VECTOR (7 DOWNTO 0);
mcf: IN STD_LOGIC;
i2c_write: in std_logic;
web: OUT STD_LOGIC; -- active-low write-enable for external RAM
oeb: OUT STD_LOGIC; -- active-low output-enable for external RAM
sel_ram: out std_logic;
carry_out : out std_logic;
pc_out: OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
ir_out: out std_logic_vector (7 downto 0);
acc_out: out std_logic_vector (3 downto 0)
);
end component;



begin

U1: gnome port map (
clk => clk,
reset => gnome_reset,
address =>gnome_address,
data => gnome_data,
i2c_data =>w_i2c_data,
--i2c_address =>w_i2c_address_view,
i2c_control =>w_i2c_control,
mcf => master_mcf,
i2c_write => i2c_write,
--csb => w_csb,
web => gnome_web,
oeb => gnome_oeb,
sel_ram => gnome_sel_ram,
carry_out => gnome_carry_view,
pc_out => gnome_pc_view,
ir_out => gnome_ir_view,
acc_out => gnome_acc_view);


end archMICRO_TEST_POST;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru