[an error occurred while processing this directive]
приношу извенения, написал бред - вообще физически неправильно
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 28 июля 2005 г. 15:22
В ответ на: ну то есть так отправлено yes 28 июля 2005 г. 15:11

то есть нужно что-то такое

set[i]=data[i]&load;
reset[i]=~data[i]&load;

always @(posedge clk or load)
begin
for(i...
if(set[i])
q[i]=1;
if (reset[i])
q[i]=0;
if(clk)
q <= d;
end

эт тоже может бред, я сегодня(?) такой :)))), но вроде думать надо в эту сторону

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru