[an error occurred while processing this directive]
|
Где-то точно лыжи не едут...
Не воспринимается значение inout шины, заданное в TBW!
Вообщем даже сделал тестовый проект
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity v is
Port ( D : inout std_logic_vector(31 downto 0);
a : in std_logic;
C : out std_logic_vector(31 downto 0));
end v;
architecture Behavioral of v is
begin
D <= x"12345678" when a = '1' else (others => 'Z');
C <= D;
end Behavioral;
Задал в TBW a='1', увидел что на С появилось 12345678, однако далее в тесте задал шину D=0, но в ModelSime на шинах D и C остается Z состояние!!!
Сервис пак стоит!
Как задать в TBW, значение шины D, чтобы оно отобразилось в ModelSim,
в ISE6.2 все работало без проблем!
E-mail: info@telesys.ru