[an error occurred while processing this directive]
Используя LVDS, я указывал, что I/O стандарт используемых ножек данного банка = LVDS (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено VG 18 мая 2005 г. 12:55
В ответ на: Питание банка. отправлено <font color=gray>Артем</font> 18 мая 2005 г. 12:16

Естественно, квартус сам понимает, что , если в данном банке есть хоть одна нога LVDS, то питание его 2,5В. Потому он не просто не позволит в одном банке использовать LVDS и 3V LVTTL. Однако в этом же банке можно использовать обычные I/O ноги стандарта 2,5В (на некотором удалении от ног LVDS).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru