[an error occurred while processing this directive] [an error occurred while processing this directive]
Спасибо.Нашел.А lib для Altera где ни-ть есть?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Борода 16 марта 2002 г. 00:11
В ответ на: Ответ: Они входят в состав Web версии отдельным файлом. В разделе support есть обновления. отправлено Alexsey 15 марта 2002 г. 07:26


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru