[an error occurred while processing this directive] [an error occurred while processing this directive]
Как задать константу в Xilinx Foundation 3.1 Schematic Editor
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено AndreyB 11 марта 2002 г. 18:27

Пользуюсь записью типа H,L,H,L,H... где H - выход элемента VCC, а L - выход GND.

Может кто-нибудь подскажет как задать константу в более удобном виде (dec или hex). Только не LogiBLOX - там для каждой константы свой символ, тоже неудобно.



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru