[an error occurred while processing this directive]
Сия схема будет страдать тем же глюком и даже больше(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 20 апреля 2005 г. 18:33
В ответ на: Сергей, вот фифо такое-же, как на двухпортовке. Синтезировал Синплифи80. отправлено druzhin 20 апреля 2005 г. 18:27

и к томуже Фифо как таковое там не нужно, тем более такое как 64х8.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru