[an error occurred while processing this directive]
Почему ISE зависает при генерации тайминг модели и SDF файла ?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 18 марта 2005 г. 19:09

Переодически в разных проектах встречается что ISE уходит в себя при создании poast Place and Rout модели (ISE 6.1). Причем сталкивался с этим несколько раз в разных проектах, и в разных ISE, но корреляций проследить не смог....

Может кто тоже сталуивался, что подправить надо ?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru