[an error occurred while processing this directive]
Может кто знает как правильно использовать примитивы Altera в ActiveHDL (проект на VHDL, синтез и разводка - в Quartus) ?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Vasilij 03 марта 2005 г. 18:58

Иногда возникает желание использовать некоторые примитивы Altera (в частности DFF, DFFE и т.д.). Иногда требуется простой D-триггер, без всякой логики на входе - т.е. полный аналог DFF или DFFE. Его конечно описать нетрудно, но т.к. он уже есть в виде примитива, то описывать его заново желания нет.
Соответственно для такого случая в HELP'е к Quartus предлагают использовать maxplus2 package, где содержатся декларации примитивов:

LIBRARY altera;
USE altera.maxplus2.ALL;

и далее эти примитивы используются:
dff1 : DFF PORT MAP (d =>data, q => q_out, clk => clock, clrn => clearn, prn => presetn);

При этом ActiveHDL выдает Warning на DFF: There is no default binding for component "dff".(No entity named "dff" was found) - что вполне логично. В самом Quarus'е надо использовать maxplus2.lmf как Library Mapping File для соотв. VHDL файла.
Схему, где использовались примитивы, Quartus нормально синтезирует и разводит - тут проблем нет, но как избавиться от Warning'ов в самом ActiveHDL ? (естественно не описывая вручную сами примитивы :) )

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru