[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Примерно так...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено svt 01 марта 2002 г. 09:33
В ответ на: WebPACK & VHDL отправлено Maksim 28 февраля 2002 г. 14:43

LIBRARY ieee;
LIBRARY UNISIM;
LIBRARY xc9000_Macro;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
USE UNISIM.Vcomponents.ALL;
USE xc9000_Macro.Components.ALL;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru