[an error occurred while processing this directive]
Я их в контексте привел, вместе с @N (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено netaimaid 11 февраля 2005 г. 13:40
В ответ на: Ну, варнинга там только два (+) отправлено dxp 11 февраля 2005 г. 12:45

В документации ничего не нашел, в инете тоже.
Подозреваю, что Synplify хочет знать, какие ячейки использовать на входы-выходы, но как ей об этом сказать, не нашел.

По Actel'евскому FAQ:
How can I use different I/Os during synthesis in Synplify?
By default, Synplify infers IB33 for Inputs, OB33PH for outputs, and OTB33PH for tristates. If you want to use a different I/O buffer, you have to instantiate the I/O buffer inside the RTL code.

Т.е. вроде никак не объяснить, что именно ставить, но варнинги все-таки нервируют.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru