[an error occurred while processing this directive]
вопрос Synplify + VHDL (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Romario 25 ноября 2004 г. 21:33

есть некий top-level entity "cnt"

port (
gclk : in std_logic;
da : inout BYTE;
sram_io : inout std_logic_vector (7 downto 0);
.........

используются двунаправленные шины
da и sram_io. Шина da используется внутри
entity "cnt". а Шина sram_io передается в другой
компонент через inout структуру.

Synplify в RTL как для da так и для sram_io показывает
двунаправленные буфера. Однако *.edf файл генерируется следующий


(cell cnt (cellType GENERIC)
(view mainrtl (viewType NETLIST)
(interface
(port (array (rename da "da(7:0)") 8) (direction INOUT))
(port (array (rename sram_io "sram_io(7:0)") 8) (direction OUTPUT))
(port (array (rename ah "ah(7:0)") 8) (direction INPUT))
(port (array (rename sram_adr "sram_adr(18:0)") 19) (direction OUTPUT))
(port (array (rename TsInBus "TsInBus(7:0)") 8) (direction INPUT))
(port gclk (direction INPUT))
(port ale (direction INPUT))

т.е. почему то sram_io становится "direction OUTPUT" хотя c da все в порядке.
Соотетственно ничего не работает.

Что я сделал не так? почему sram_io становится OUTPUT????

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru