[an error occurred while processing this directive]
Хороший халявный TextEditor с русификацией. После того как я переделал файл поддержки верилога он мне нравится больше чем УльтраЕдит32 (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено druzhin 17 ноября 2004 г. 12:34

Создать файл VerilogHDL.chl со следующим содержимым:

//////////////////////////////////////////////////////////////////////////////
//
// Verilog HDL highlighter written by Andy Koppe, andy@dcs.ed.ac.uk
//
//////////////////////////////////////////////////////////////////////////////

//////////////////////////////////////////////////////////////////////////////
// language name

Language: Verilog HDL


//////////////////////////////////////////////////////////////////////////////
// default file filter
// note: if more than one extension is associated, eg:
// C/C++ files (*.c,*.cpp,*.h,*.hpp)|*.c;*.cpp;*.h;*.hpp

Filter: Verilog files (*.v,*.ver,*.vlog)|*.v;*.ver,*.vlog


//////////////////////////////////////////////////////////////////////////////
// help file which will be invokend when F1 is pressed

HelpFile:


//////////////////////////////////////////////////////////////////////////////
// language case sensitivity
// 0 - no
// 1 - yes

CaseSensitive: 1


//////////////////////////////////////////////////////////////////////////////
// comment type: LineComment - comment to the end of line
// BlockCommentBeg - block comment begin, it could be
// multiline
// BlockCommentEnd - block comment end

LineComment: //
BlockCommentBeg: /*
BlockCommentEnd: */


//////////////////////////////////////////////////////////////////////////////
// identifier characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char

IdentifierBegChars: a..z A..Z $ `
IdentifierChars: 0..9 a..z A..Z _$ _`


//////////////////////////////////////////////////////////////////////////////
// numeric constants begin characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char
// number always starts with 0..9 except when NumConstBeg
// defines other

NumConstBegChars: 0..9 '


//////////////////////////////////////////////////////////////////////////////
// numeric constants characters
// note: characters shouldn't be delimited, except arrays
// array of chars could be defined as from_char..to_char
// number always starts with 0..9 except when NumConstBeg
// defines other

NumConstChars: 0..9 a b c d e f h o x A B C D E F H O X $


//////////////////////////////////////////////////////////////////////////////
// escape character

EscapeChar: \


//////////////////////////////////////////////////////////////////////////////
// keyword table
// note: delimited with spaces, lines could be wrapped
// you may divide keywords into two groups which can be
// highlighted differently

// syntax
KeyWords1: generate endgenerate table endtable primitive endprimitive
task endtask function endfunction specify endspcify
always initial repeat disable begin end fork join
forever while for if else case casez casex endcase
parameter defparam specparam
assign deassign force release default
event edge wait ifnone input output inout module endmodule

// types, values, built-in primitives
KeyWords2: genvar
wire tri tri0 tri1 wand triand wor trior
reg real integer real realtime time
supply0 supply1 strong0 strong1 pull0 pull1 weak0 weak1
small medium large highz1 highz0
and nand or nor xor xnor
buf not bufif0 bufif1 notif0 notif1
tran rtran tranif0 tranif1 rtranif0 rtranif1
comos rcmos nmos pmos rnmos rpmos
posedge negedge

// preprocessor
KeyWords3: `timescale `resetall `default_nettype `unconnected_drive
`include `define `undef `ifdef `else `endif
$fopen $fclose $stop $fdisplay $display $time

// lib elements
KeyWords4: BUF BUFG IBUF IBUFG IOBUF OBUF BLKMEMDP_V6_1

// operators
KeyWords5: == <=

//////////////////////////////////////////////////////////////////////////////
// string delimiter: StringBegChar - string begin char
// StringEndChar - string end char
// MultilineStrings - enables multiline strings, as perl
// has it

StringBegChar: "
StringEndChar: "
MultilineStrings: 1


//////////////////////////////////////////////////////////////////////////////
// use preprocessor: 0 - no
// 1 - yes
// note: if yes, '#' and statements after it will be
// highlighted with Preprocessor defined colors

UsePreprocessor: 0


//////////////////////////////////////////////////////////////////////////////
// highlight line: 0 - no
// 1 - yes
// note: if yes, current line will be highlighted

CurrLineHighlighted: 0


//////////////////////////////////////////////////////////////////////////////
// colors
// note: first value is foreground, second is background color
// and third (optional) represents font attribute:
// B - bold
// I - italic
// U - underline
// S - strike out
// attributes can be combined: eg. B or BI
// as value, it could be used any standard windows color:
// clBlack, clMaroon, clGreen, clOlive, clNavy,
// clPurple, clTeal, clGray, clSilver, clRed, clLime,
// clYellow, clBlue, clFuchsia, clAqua, clLtGray,
// clDkGray, clWhite, clScrollBar, clBackground,
// clActiveCaption, clInactiveCaption, clMenu, clWindow,
// clWindowFrame, clMenuText, clWindowText, clCaptionText,
// clActiveBorder, clInactiveBorder, clAppWorkSpace,
// clHighlight, clHighlightText, clBtnFace, clBtnShadow,
// clGrayText, clBtnText, clInactiveCaptionText,
// clBtnHighlight, cl3DDkShadow, cl3DLight, clInfoText,
// clInfoBk
// as value, it could be used hex numeric constant too:
// $BBGGRR - BB: blue, GG: green, RR: red, eg: $FF6A00

SpaceCol: $000000C0 clWindow
Keyword1Col: $00C00000 clWindow
Keyword2Col: clPurple clWindow
Keyword3Col: clOlive clWindow
IdentifierCol: clBlack clWindow
CommentCol: clGreen clWindow
NumberCol: $00555300 clWindow
StringCol: $00C000C0 clWindow
SymbolCol: $0035356A clWindow
PreprocessorCol: clBlue clWindow
SelectionCol: clRed $00FFFFC0
CurrentLineCol: clBlack clYellow
OverrideTxtFgColor: 1
BlockAutoindent: 0
BlockBegStr:
BlockEndStr:
Keyword4Col: $00004040 clWindow
Keyword5Col: clRed clWindow BU
MatchedBracesCol: clWindowText clWindow


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru