[an error occurred while processing this directive]
В догонку FIFO_OUT=1023
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Serega Doc 12 ноября 2004 г. 10:34
В ответ на: Не знаю на счет DSP но FIFO буфер (текст ниже) у меня в APA1000 Synplify 7.2 Pro больше 15 часов мапил пока мне не надоело результат не получил. Не знаю я пока не специалист. В чем проблема: в ручка, синтезаторе или кристале отправлено Serega Doc 12 ноября 2004 г. 10:25


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru